CPU_Init

Description

Initializes the core CPU module.

Files

cpu_core.h/cpu_core.c

Prototype

          void  CPU_Init (void);


Arguments

None.

Returned Value

None.

Required Configuration

None.

Notes / Warnings

  1. CPU_Init() must be called by application code prior to calling any other core CPU functions:
  • CPU host name
  • CPU timestamps
  • CPU interrupts disabled time measurements
  • Dependent applications such as µC/FS, µC/TCP-IP and µC/OS-III